Monolithic 3D Inc., the Next Generation 3D-IC Company
  • Home
  • Technology
    • Technology
    • Papers, Presentations and Patents
    • Overview >
      • Background
      • Why Monolithic 3D?
      • Paths to Monolithic 3D
      • Applications
    • Ion-Cut: The Building Block
    • Monolithic 3D Logic >
      • RCAT
      • HKMG
      • Laser Annealing
      • RCJLT
      • 3D Embedded RAM
      • 3D Gate Array
      • FPGA
      • Ultra Large Integration - Redundancy and Repair
    • Monolithic 3D Memory >
      • 3D DRAM
      • 3D Resistive Memories
      • 3D Flash
    • Monolithic 3D Electro-Optics >
      • 3D Image Sensors
      • 3D Micro-Displays
  • 3D-IC Edge
    • 3D-IC Edge
  • News & Events
    • News & Events
    • S3S15 Game Change 2.0 Video/P
    • Webcast
    • Webinar
    • Press Releases
    • In the News
    • Upcoming Events
  • About Us
    • About Us
    • History
    • Team
    • Careers
    • Contact Us
  • Blog
  • Simulators

“Atoms Don’t Scale”=> What is Beyond 7nm (2019)?                                                               MonolithIC 3D™ - the Future of Semiconductor Scaling

7/23/2013

0 Comments

 
Picture
We have a guest contribution today from Brian Cronquist, MonolithIC 3D Inc.'s VP of Technology & IP. Brian discusses about MonolithIC 3D Inc.'s participation at Semicon West 2013.

Thanks to everybody who came by poster exhibition at the Silicon Innovation Forum at SemiconWest 2013 [SemiWest]! We really enjoyed talking with you about all the exciting possibilities for new products and processes that are enabled by monolithic 3D IC.

Here is Zvi 'guarding' the poster:
Semicon West 2013 Poster
Figure 1 - Zvi Or-Bach at Semicon West 2013
Atoms Don't Scale: Dennard type scaling is already reached diminishing returns and looks like going to 'hit the wall' near this decade's end. P. Farrar of IBM said it succinctly: Atoms Don't Scale, and Steve Punta of Intel said "hard to imagine good devices smaller than 10 lattices across - reached in 2020":
Picture
Figure 2
As well, Bob Cowell of Intel microprocessor fame, who is currently the head of DARPA's MTO (Microelectronic Technology Office)...the folks who are supposed to be looking way ahead...is publicly saying that Moore's Law is at its end and we will have (at least) a decade long gap (2020-2030) in device improvements:
Picture
Figure 3
Picture
Figure 4
We all know the trends...much higher lithography costs and litho driven defects, interconnect resistance and capacitance slowing performance, the connectivity is driving power budgets awry, and so on. And the result is that the historical cost trends that we have been enjoying are going to soon no longer be there. Will carbon nano-tubes, graphene, nanowires, InGaAs, spintronics,... save us? Not likely, and certainly not by 2020.

What's the Answer?

Monolithic 3D can utilize the existing infrastructure, so the usual 5-10 years of development of evolutionary concepts places this solution as being capable for answering the 2020 call. TSVs (parallel 3D), if the costs can be contained, can only address a very small part of the solution space.

Take a look at the monolithic 3D techniques and potential for more than Moore ever predicted. http://www.monolithic3d.com/3d-ic-edge1.html

As an industry, how are we going to fill the decade gap?

Give me a call or email if you want to talk more...

BC
submit to reddit
0 Comments

"-Intel exec says fabless model 'collapsing"-'fab' or reality-?

5/5/2012

0 Comments

 
Picture
We have a guest contribution from Zvi Or-Bach, the President and CEO of MonolithIC 3D Inc. Zvi discusses about the latest news regarding the reversal of the trend from Foundry model back to the IDM model.

Are we facing a dramatic reversal of the trend from the Foundry model back to the IDM model???

Recently Rick Merritt of EE Times reported on his interview with Mark Bohr, "Mr. Process Technology at Intel," and wrote: "It’s the beginning of the end for the fabless model according to Mark Bohr."

Quite naturally this caused many responses, with the majority of them hinting that Intel is trying to break into the smart mobile space by sowing doubt in the future of the existing ecosystem around TSMC-ARM and multiple fabless vendors.

We recently wrote two very relevant blog entries:

Is NVIDIA in a Panic? If so, what about AMD? Other fabless companies? 04/02/2012
and
Why Samsung will give Morris Chang sleepless nights 02/05/2012


With recent reports about Qualcomm having issues with TSMC, Apple not being able to shift out from Samsung (their competitor) to TSMC, AMD having severe issues and trying to shift some of manufacturing from GlobalFoundries to TSMC, and straight out statements such as:  "NVIDIA deeply unhappy with TSMC, claims 20nm essentially worthless," one can't avoid the question: Are we facing a dramatic reversal of the trend from the Foundry model back to the IDM model???


It does seem that advanced scaling these days provides a significant advantage to the integrated model, where trade-offs between design, library EDA, and manufacturing, provide a better end product. Such an integration advantage manifests itself with respect to yield, now that the majority of the yield losses are design-related rather than random defects, and to manufacturing cost, as some of the layers needs double or even triple/quad patterning. 


Accordingly, this might explain why both TSMC and GlobalFoundries recently announced investment in 3D IC processing lines (TSMC plans 3-D IC assembly launch early in 2013, GlobalFoundries installs gear for 20-nm TSVs). As the current scaling trend works against them, they both chose to move the game to a court where an ecosystem would be more powerful than corporate vertical integration.


We at MonolithIC 3D Inc. are very pleased to see 3D ICs becoming a key business strategy, and truly believe that adding monolithic 3D manufacturing capabilities will extend foundries’ strategic benefits even further. Monolithic 3D, with its 10,000x better vertical connectivity, provides an exciting alternative to pure dimensional scaling. Moore's law is about doubling the number of transistors, which could be easily achieved using existing process and lithography by simply doubling the number of layers carrying transistors. Scaling through the third dimension provides power, speed, and cost benefits similar – or even better -- than we once used to get from dimensional scaling (see "Why Monolithic 3D" for more information).


In addition, monolithic 3D provides benefits that cannot be achieved with dimensional scaling such as pulling out embedded memory into another layer on top of the logic. In a typical SoC the embedded memory may represent 50% of the die area and include hundreds of memory macros, requiring too many vertical connections for TSV but is a very simple task for monolithic 3D integration. A dedicated memory layer also allows optimizing the first layer for logic and the second layer for memory, which could be even a DRAM rather than SRAM, and would need fewer costly metal layers. Another advantage is the realization of logic-cone-level logic redundancy, as described in Monolithic 3D IC Could Increase Circuit Integration by 1,000x and in Redundancy & Repair with Monolithic 3D.


In summary, the current trend in the semiconductor industry indicates that IDMs have a significant advantage in the leading edge dimensional scaling race. Foundries recognize it and are responding by adding 3D capabilities. They could do even better by also adding monolithic 3D. 
submit to reddit
0 Comments

Is TSV for real?

4/8/2012

0 Comments

 
Picture
We have a guest contribution today from Israel Beinglass, the CTO of MonolithIC 3D Inc. Israel discusses about TSVs. 

Have you read some of the recent TSV headlines?

1. January 31, 2012 - CEA-Leti launched a major new platform, Open 3D, that provides industrial and academic partners with a global offer of mature 3D packaging technologies for their advanced semiconductor products and research projects.

2. March 7, 2012 - Semiconductor fab equipment supplier Applied Materials Inc. (AMAT) opened the new Centre of Excellence in Advanced Packaging at Singapore's Science Park II with its partner in the endeavor, the Institute of Microelectronics (IME)

3. March 26, 2012 - PRNewswire - Semiconductor design/manufacturing software supplier Synopsys Inc. (Nasdaq: SNPS) is combining several products into a 3D-IC initiative for semiconductor designers moving to stacked-die silicon systems in 3D packaging.

It is amazing that after so many years of development and efforts and great presentations we are still not in a full production and still basic R&D as well as EDA still in infancy.

Most people in the Industry consider Merlin Smith and Emanuel Stern of IBM the inventors of TSV based on their patent “Methods of Making Thru-Connections in Semiconductor Wafers” filed on December 28, 1964 and granted on September 26, 1967, as shown below patent  number 3,343,256
Picture
Figure 1: IBM TSV patent
In April 12, 2007 IBM announced a breakthrough new 3D technology:
Armonk, NY - 12 Apr, 2007: IBM (NYSE: IBM) today announced a breakthrough chip-stacking technology in a manufacturing environment that paves the way for three-dimensional chips that will extend Moore’s Law beyond its expected limits. The technology – called “through-silicon vias” - allows different chip components to be packaged much closer together for faster, smaller, and lower-power systems… IBM is already running chips using the through-silicon via technology in its manufacturing line and will begin making sample chips using this method available to customers in the second half of 2007, with production in 2008.
Picture
Figure 2: Original story on TSV advantages followed IBM announcement
Figure 2 is taken from Ignatowski’s presentation made shortly after IBM’s TSV announcement. This type of argument where chip stacking is compared to 2 chips side by side has become the corner stone of the TSV story (http://www.sematech.org/meetings/archives/3d/8334/pres/Ignatowski.pdf).

Already at that point (2007) it was clear to IBM that there were many issues with the technology that needed to be resolved.  Figure 3 shows the IBM slide discussing some of the problems for implementing TSV for mass production.
Picture
Figure 3: Issues per IBM with the TSV technology
During the years following and through to today there have been many attempts to bring the technology to the mass production. All have been without real success. 
The professional literature is full of beautiful road maps showing how TSV is going to change the industry with “more than Moore” as the next scaling methodology. 
Figure 4 is the Advanced Packaging road map for Texas Instruments which is typical of most companies Packaging/TSV road maps.
Picture
Figure 4: TI Packaging Technology Trends Dec 2011
There are several issues that are facing the industry when trying to implement TSV technology: (not in any specific order)
Process issues:
  • Via etching and filling are extremely slow since the dimensions are very different from the “normal” dimensions the industry uses (single/multiple digit microns for depth and diameter vs. nanometers, plus aspect ratios>5)
  • Via, first, middle or last which way to go? Each affects the whole process logistics in differing ways
  • How to integrate wafers from different sources Logic from IDM and/or foundry and memory from a memory Fab
  • Wafer thinning, how to handle fully processed wafer 20-80 micron thick including bonding and de-bonding. Rumors are that both Applied Materials and TEL are developing this kind of a tool
  • Wafer-to-wafer (W2W) or die-to-wafer (D2W) bonding: each  have processing challenges
  • Singulation of the final product
  • Substrate (carrier)for TSV

Design and EDA:
  •  Design rules are currently not compatible with TSV
  •  Who is responsible for the “system” design if there are several sources for product to be integrated?
  • EDA is way, way behind
  • Thermal simulation and heat removal issues
Back end issues:
  • Foundries/IDM vs. OSAT, who is doing what and who picks up yield loss
  • Final test
  • Reliability
  • The major foundries have no memory knowledge or how to integrate the memory on top of logic
Cost:

            - Currently the cost associated with implementing TSV is at least for now higher than other solutions. This is hampering the motivation to develop and implement the TSV technology.

Also the CapEx to implement TSV needs to be addressed, Figure 5 is a table put together by ASE that shows the readiness of the various equipment needed to run a typical TSV process.
Picture
Figure 5: TSV equipment readiness per ASE
One of the key issues that some people are neglecting right now is the fact that we do have an interim solution to the problem. It may- probably not be the best solution and perhaps not the most elegant one but it does work. These are the variety of packaging techniques using chip on chip with wire bonding, and assortment solutions (PoP etc).
Picture
Figure 6: Alternative methods for 3D chip connectivity
The following are some of the comments made by industry experts over the last few months.

TSMC
Doug Yu’s keynote address at the 3D Architectures for Semiconductor Integration and Packaging Conference in December, he noted that TSMC intends to provide full 2.5F and 3D service including chip design and fabrication, stacking and packaging. Yu, who is senior director of integrated interconnect and packaging, R&D at TSMC, outlined the key technologies that offer the best path to commercializing 3D integration technologies, with the implication that TSMC is well positioned to provide them all.

(http://www.infoneedle.com/posting/100745?snc=20641)

“TSV is much more complex and challenging than ever before,” noted Yu. “There’s a new ballgame and a small window.” He said a conventional collaboration infrastructure is becoming harder. Integration must be simplified to reduce handling and an investment beyond conventional back-end (in other words, middle-end-of-line tools and processes) is required. In short, Yu said a full spectrum of expertise is needed that includes manufacturing excellence, capacity and customer relationships where there is no competition with the customer 


Hynix
Nick Kim VP of Packaging  announced that for Hynix, production of 3D devices is no longer a matter of if but when and how (http://www.infoneedle.com/posting/100669?snc=20641)

Kim provided a detailed cost breakdown illustrating why 3D TSV stacks are more expensive (1.3x more) than wire bond stacks to manufacture. Overall, TSVs alone add 25% to the manufacturing cost because there is additional cost at each step:  

  •  Design: net die area decreases due to TSV array. 
  •  Fab: increased process steps due to TSVG formation, and capex for TSV equipment. 
  •  Packaging: Bumping, stacking, low yield and CapEx for backside processing equipment such as temporary bond and de-bond. 
  • Test: Probe and final package test time is increased because of the need to test at each layer as well as final. 
  • Hynix 3D roadmap: volume TSV production will officially start after 2013:
  • DRAM on Logic for mobile applications in a known good stacked die (KGSD) driven by form factor and power, are in development in 2012 with low production expected early 2013 ramping to volume late 2014. 
  • DRAM on interposer in a 2.5D configuration for graphics applications, driven by bandwidth and capacity is in development in 2012 with low production expected by the end of the year and ramping to HVM early in 2014. 
  • 3D DRAM on substrate for high performance computing (HPC) driven by bandwidth and capacity is in development in 2012, with low production expected early 2013, ramping to volume late 2014. 

In terms of supply chain management, Kim sees Hynix favoring the open ecosystem where logic and memory prepared with/for TSV from foundries and IDMs going to OSATs for assembly.

Overall it looks almost like a nightmare to implement TSV in a manufacturing facility. Even if all the processes steps will be taken care of, the logistics and co-ordination with different Fabs and OSAT are definitely no fun!!!

It looks like when we sum all the issues regarding the TSV methodology for achieving 3D, the approach of monolithic 3D suggested by MonolithIC 3D could resolve many of these issues and offer a far greater cost/performance gain from going 3D. Most of these advantages were already discussed in previous blogs and are part of the company web site,

Just few items that I would like to highlight:
  •  Practically no limit on the amount of vias between the different chips in the stack.
  • No deep TSV – nanometers, not microns!
  •  All done within the IDM or the foundry – better yield control & ramp, and no pointing fingers.
Please comment and let’s get a discussion going.
submit to reddit
0 Comments

Is NVIDIA in a Panic? If so, what about AMD? Other fabless companies?

4/2/2012

4 Comments

 
Picture
We have a guest contribution from Zvi Or-Bach, the President and CEO of MonolithIC 3D Inc. Zvi discusses NVIDIA's presentation at the International Trade Partner Conference (ITPC) forum last November.

Recently I read a very uncommon report title: "NVIDIA deeply unhappy with TSMC, claims 20nm essentially worthless". Quoting directly: “One of the unspoken rules of customer-foundry relations is that you virtually never see the former speak poorly of the latter. Only when things have seriously hit the fan do partners like AMD or NVIDIA admit to manufacturing problems... That’s why we were surprised - and our source testified to being stunned - that Nvidia gave the following presentation at the International Trade Partner Conference (ITPC) forum last November”
Picture
Figure 1
The only explanation I can come up with is that NVIDIA is in a panic. And according to Andy Grove’s “Only the Paranoid Survive” I believe NVIDIA will overcome the challenge, and at the later part of this blog we will present our view for an action plan. But first let’s try to understand what the issue is about.

It all starts with the diminishing return of dimensional scaling. This time it is about costs. Dimensional scaling requires continual improvements in lithography capability, and is primarily driven by the rapidly escalating cost of lithography, as illustrated by the following chart:
Picture
Figure 2
Now that the cost of lithography dominates the cost of Fabs and accordingly the cost of a finished wafer, the cost reduction associated with getting more dies per wafer (scaling) becomes neutralized by the higher cost of wafers. This was recently articulated in View Point in EE Times by Dr. Handel Jones and illustrated by the following chart.
Picture
Figure 3
Furthermore, pure foundry leader TSMC publicly showed the issue as seen in the following chart
Picture
Figure 4
And accordingly the following charts from NVIDIA present the same trend in a very clear way:
Picture
Figure 5
Moreover, another chart by NVIDIA shows the higher cost of wafers eating away at the benefits of dimensional scaling:
Picture
Figure 6
But this is clearly not TSMC’s fault. So why: "NVIDIA deeply unhappy with TSMC, claims 20nm essentially worthless"? And why would NVIDIA care? If the price will stop going down they should be happy to be able to charge more as long as their competitors need to do the same. And it is hard to believe AMD would see different curves from TSMC??

But careful review of the bullet slide above and the bullet slide below might reveal NVIDIA’s underlying  concerns.
Picture
Figure 7
Both slides indicate real concerns and reflect some form of panic.

It seems to me that the key words are “Virtual IDM”, which are the only highlighted words of the second bullet slide but do appear also in the first one.
“When business ($) gets in the way, apply “First principle”, the principle of one company, one virtual IDM company”. I was not aware of this “First principle”. I thought our first principle is open competition, and individual companies are supposed to work as such and not as one company I believe we have some laws - Antitrust - against acting as one company instead of individual company.
Yet, NVIDIA does have one strong IDM competitor - Intel. Could it be that Intel’s costs are different??

I don't know but it does remind me of a previous blog I wrote: Required Change in EDA Vendors’ Role and Reward vs. Scaling Yield. In that blog we tried to understand the implication of dimensional scaling on yield, and more specifically on the systematic yield losses which are design related. The following chart was presented then
Picture
Figure 8
In that blog we suggested that an IDM would have a significant advantage over the “partnership” of Fabless-Fab-EDA.

Looking again on the cost related chart one can clearly see NVIDIA pointing to the importance of yield. But I believe they should not blame just TSMC as it would seem to me that the EDA part is just as important.
NVIDIA, TSMC and the other fabless companies and partners (EDA, etc.) should strategically consider the issues associated with dimension scaling, which seem to strongly benefit the IDMs. Such strategic evaluation should include a serious look into the better alternative to dimensional scaling - the monolithic 3D, or as we call it, scaling Up!!!
submit to reddit
4 Comments

"Chip 2020" - The End of Scaling is 2020 - or not - Book Review

3/5/2012

0 Comments

 
Picture
We have a guest contribution from Zvi Or-Bach, the President and CEO of MonolithIC 3D Inc. Zvi discusses about "Chip 2020" book review. 

A recent book review by Peter Clarke on this wonderful new book by Bernd Hoefflinger caught my eye, and also reminded me of an old connection I have with Hoefflinger.

Early in the 90's I had the pleasure to collaborate with Hoefflinger, who at that time was the director of the Institute for Microelectronics Stuttgart (IMS CHIPS). I was the CEO of Chip Express then and we worked together to demonstrate that applying Direct-Write-eBeam to a Chip Express wafer could lead to a very effective Gate-Array prototyping scheme and to low volume production of those Gate-Arrays.

It was great pleasure to reconnect and to read "Chip 2020"
I highly recommend this book as it provides an update view of the Semiconductor Industry by a group of known experts in our field.
The book provides a concise review how we got here and what is ahead for us up to the year 2020.

The book presents a now more common view that the scaling that got us here is gone, and that there are concrete red-bricks for dimensional scaling beyond 2020. Primarily:

1. As gate sizes reach ~10nm we would have nominally 6 atoms of impurity in the channel with a commensurate variation that would constrain effective use of the transistor -see Fig. 1.1

Picture
2. As lithography tools are already forced to use double exposure/processing it has became unclear if an effective lithography is going to be available to move forward. See the Table 8.3 below, provided by Burn J. Lin of TSMC
Picture
Clearly the future cost of lithography eats away at the cost advantage of dimensional scaling.

Hoefflinger presents some options to tackle these challenges as detailed through the book and with respect to specific segments of the industry. The following Fig. 3.1 presents these future technologies:

Picture
At MonolithIC 3D we were pleased to see the important role given to 3D IC in the book as shown in the Fig. 3.1 above.

In short I fully agree with Peter Clarke’s statement: "The book offers some far-reaching and fundamental insights" and I highly recommend the book to semiconductor technologists who are looking forward toward the next decade of progress in the field.


submit to reddit
0 Comments

Semiconductor Equipment Manufacturing - Who wins from the recent consolidation

2/27/2012

3 Comments

 
Picture
We have a guest contribution today from Israel Beinglass, the CTO of MonolithIC 3D Inc. Israel discusses about the semiconductor equipment manufacturing: "Who wins from the recent consolidation?"

2011 was a big year for consolidation in the semiconductor equipment manufacturing industry. The year started with the Varian acquisition by Applied Materials and was ended with the merger announcement of Novellus and Lam Research (not concluded when this blog is written).

The equipment business is relatively conservative and for many years only few noticeable successful M&A were done. Few past M&A were of a strategic nature. In these cases a large corporation buys a smaller one to either develop a product line or to buy into a growing product line. Table 1 is a snapshot of some past M&A activities in the short history of the semiconductor equipment industry-by far not a complete list.
Picture
Table 1 - Past M&A activities
As one can see many of the M&A turned to be a failure and became a big drain on the acquirer balance sheet. In several cases the company seized operation of the acquired company after several years, in other cases it is still going on with a moderate or very limited success. To mention two cases of a real success I can point to the Veeco acquisition of the MOCVD from Emcore and the merger of KLA with Tencor. In both cases the M&A dramatically boosted the company’s position, market cap and market share.

Going back to the two recent M&A namely Applied Materials Varian and Lam Novellus the question is how this will affect the semiconductor industry and who is going to benefit from it.

I definitely view these two activities in a positive way.

1.   Applied Materials and Varian: Looks like Varian had practically all the implant market and really didn’t have any room to grow (beside the new solar implant business-highly speculative). So selling the company to Applied Materials helped a lot… the employees and the top executives that suddenly got their stock price almost double…From Applied Materials point of view they now controlling most of the front end equipment and can influence the transistor technology more than before. Though I might emphasize as I mentioned in a previous Blog that the percentage of the implant business in the whole semi CapEx pie is shrinking in the last few years and probably with the introduction of the FINFET-Tri gate it will shrink even more. Still for Applied strong position in the Epi, RTP and Implant market they do have good position in the front end. The missing link of course is a good position in the ALD technology (controlled by ASMI).

In other hand customers don’t like to see too much power at the hand of one equipment vendor; they do like to see competition. Not clear how it will be played in the implant arena since no other real competitor in the horizon.

2.   LAM Novellus: This merger was proposed many years ago and almost every year was rumored to go through without actually happening to the dismay of analysts and others. However eventually it did happen! By combining the winning position of Lam in the etch and Novellus in the CVD the new combined company could expand and offers new modules and combinations of products especially in the back end and in the emerging double (quadruple) patterning that becomes a very important module in the advanced lithography.

In order to complete this discussion we need to look at the future, and in the future new technology of 3D devices will become a reality. Let’s discuss now how these M&A will affect the new world of 3D devices.

  1. TSV
    1. No real effect from the Applied Materials Varian deal since the implant is only a front end technology. Not clear if the Plasma Doping (PLAD) that supposes to do material modifications has any impact in the back end.
    2. For the Lam Novellus deal it could enhance the TSV technology since they could bring a more comprehensive solution to the TSV module that will include the etching, deposition and Cu plating. Of course they are missing the market leading position that Applied Materials has in the Cu barrier seed PVD equipment.
  2. Monolithic 3D
    1. Since Applied Materials own now the implant business they could easily get involved in the smart cut technology from point of view of proliferate it to the rest of the world, as it is currently dominate only by the SOI wafers manufacturing. Owning the RTP and Epi helps well in the Monolithic 3D module.
    2. No real effect from the Lam Novellus deal.
    3. The future introduction of Monolithic 3D technology into the Fab present an opportunity to all the equipment manufacturing companies from several new tools that need to be proliferate into the process flow.
submit to reddit
3 Comments

Is Monolithic 3D-IC less risky than scaling or TSV?

1/29/2012

0 Comments

 
Picture
We have a guest contribution today from Brian Cronquist, MonolithIC 3D Inc.'s VP of Technology & IP. Brian discusses why he believes Monolithic 3D-IC could be less risky than scaling or TSV.

I recently saw this great 5 minute video by Applied Material’s Richard Lewington [AMAT 3D Blog Video] where three types of 3D-IC construction are demonstrated. Note that the first two 3D-IC options he shows (with those plastic blocks) are monolithic. Only the third option is TSV based.

What’s going on here? Why is this major equipment vendor talking about monolithic 3D when it seems that most of what the industry is talking about these days are scaling, interposers, and Thru-Silicon-Vias(TSVs)? Let’s take a look.

Being a fab-guy (built parts of and worked in Chartered Fab-1 & Fab-2, Sierra Semi’s fab inside National Semi’s Bldg#4, AMI Poci Fab-4, Synertek Fab-3, etc.) I am going to approach this from a process/fab-rat perspective. Because this is a key point to what monolithic 3D is about: it is supposed to bring 3D-IC back into the wafer batch economics of semiconductor processing. No piece part handling expense, TSV/interposer reliability & cost issues, or OSAT troubles (I applaud TSMC for trying to remedy this OSAT part, but am surprised that Global Foundries did not do it first….they could have beaten TSMC to the punch here).

The major rule for wafer fabs is Take no Risks….. Everything you do is focused on control: understanding, eliminating, controlling variables. Protect and preserve that huge capital investment so you can pay it down. By definition & nature, fab managers are very conservative. But scaling forced us to do dramatically different and risky things. That’s a major reason why it takes 10+ years for new process/technologies to get into a large production fab. Think about HKMG, Cu BEOL, CMP, strain, plasma metal etching rather than wet (caused lots of corrosion issues/mousebites), to name a few. Even platen cooling (instead of aluminum mask layers) for high current implantation took a long time. Changing from flats on the starting material wafers to the notch took about 10yrs too.

At its root, many of these changes took new machines, new chemistries, and/or new process methods (think APCVD, LPCVD, UHVCVD, PECVD, SACVD, ALCVD, MOCVD, RTCVD, …..) Another large risk factor with scaling has been the use of more elements of the periodic table to solve scaling challenges.  We did not just alter the form or compound of a known element (bad enough risk-wise); we changed to and added new elements to our expensive wafer fabs. (In fab parlance, all this “newness” added up to what is called the Sphincter Effect)

When I started in the industry we used only six elements from the periodic table:
Picture
Here is the current periodic table usage:
Picture
Yet, all of us scientists and engineers, as well as fab managers, solved the problems caused by relentless scaling, and the industry grew…we had a lot of fun, we were supremely challenged, and we solved those challenges. But we also grew grey hair and permanently pinched sphincters.

At what cost? (remember, low cost is crucial to successful manufacturing!)

Here’s what Global Foundries showed about costs:
Picture
So, now we have now included the investment and banking communities into our Sphincter Effect.:-))

Enough! This is the road to ruin; well, at least to vastly diminishing returns (think Handel Jones’ chart [ElectroIQ link to ISS12 Day 2] on how transistor cost is no longer going down…)

3D-IC is the solution. OK, so…. monolithic or TSV or interposer? Above I already mentioned a few of the risks and costs to a TSV/interposer solution. Look at all the new processes and machines that had to be developed to etch and fill such deep holes at least somewhat economically. And the integration issues are significant because of the novelty and the architecture & flow: Cu/silicon stresses, keep out zones, liners, new reliability fail modes, etc. As usual, these issues will likely be solved; hence, TSV & interposers will be useful for obtaining some cost and functional/architectural gains from its limited vertical connectivity. But they are not the endgame. To get fully back onto the economic scaling path we need rich vertical connectivity.

What about monolithic 3D-IC risks & costs? Fab equipment and unit processes exist. No new elements from the periodic table are necessary. And the gains resulting from this dense vertical connectivity keep us on a scaling equivalent path (no need to spend space here…lots has been written about this). Let’s instead look at the process details:

Oxides for ox-ox direct bonding: Deposited oxides are well understood and cheap. No new equipment or elements are needed. Lots of manufacturing proven techniques to get there: PECVD, SACVD, etc.

H Implant: Can be done on current models. No new equipment needed. Done by SOI manufacturers for 20 years. H in silicon is well understood.

Bonding: Two well-known equipment vendors (EVG & SUSSMicroTec) with low temp oxide to oxide bonding capability and significant sales of machines (mostly to BSI sensor folks at this time). A recent third new entry (MHI-Mitsubishi Heavy Industries) with room temp ox-ox bonding. I recently blogged on this topic too. [BC  LT direct bonding]

Cleave: Lots of methods proven for SOI manufacture, sensors, and solar. Simplest is thermal … just use a furnace or RTP. We made a short movie clip showing how simple cleave is with the AG RTP at Stanford.

Monolithic 3D-IC uses existing wafer-fab equipment, needs no new elements from the periodic table, and utilizes well-known unit processes and chemistries.

What’s the catch? It’s the integration. Integration work (blood, sweat, and tears) will always be there, even with no new elements, machines, chemistries, etc. Always. However, those who have done new process introductions know that integration is significantly less risky (= costly) and faster to market without than with the elements/machine/chemistry changes. New modes of defect generation are always generated from integration, but there are a lot less of them if all the unit processes are standard accepted practices, than if those unit processes are totally new.

If you look very very carefully at the MonolithIC 3D Inc’s process flows, you notice we were single mindedly focused on making it simple. For example, the nm-scale thru layer vias (TLVs) are always made thru the STI (Shallow Trench Isolation); hence, no dielectric liners, minimum stress, conventional etch and fill, nothing high aspect ratio about it. Make the TLV look and feel like a regular metal to metal via.

This shows in the costs. Deepak Sekar did a SEMATECH based cost estimate and talked about it in a blog.  [Deepak Blog ion-cut cost] Here’s his summary chart for 300mm wafers.

Picture
Validation of Monolithic 3D

One may make the argument that validation of a nascent & new game-changing technology is impossible, or at least very nearly so. However, for monolithic 3D-IC there are at least two important data-points to consider. And I hope that you will be convinced that monolithic 3D-IC is neither so nascent nor new.

NAND Memory Makers going 3D: People such as David Lammers of Semiconductor Manufacturing & Design Community [Lammers July 2011] have pointed to validation evidence that the time of monolithic 3D-IC is near: the bleeding edge NAND memory makers are already moving to monolithic 3D-IC.

“The advent of 3D NAND memories may be only two or three years away, speakers said at Semicon West in San Francisco. By 2013 the major memory companies developing 3D NAND, including Hynix, Samsung, and Toshiba, may be ready with pilot lines, moving to volume production a year or so later. Taiwan-based Macronix International also has been developing a 3D NAND solution.”

At the recent (2011) VLSI Symposium J. Choi of Samsung showed their view of how they will keep on making cheaper bits … by going 3D monolithically.
Picture
Deepak Sekar has also talked in detail about this 3D monolithic push by the NAND industry (Sekar hails from flash maker SanDisk) in his recent blog [12/11/2011: where-is-the-nand-flash-industry-heading].
Picture
Second, the global semiconductor equipment leader, AMAT, has talked about sales into that market [SemiconWest2011-new products including 3D architecture support]

[OptivaCVD  for BSI] and even has a video (Richard Lewington’s blog video noted above) to promote it.

When both manufacturers and equipment suppliers are talking about, committing to, and executing on a specific technology change, you know that the economics are attractive and not just niche. Think back to how HKMG and copper BEOL came to production.

The chicken and egg are out the window….it’s happening now. The risks are contained. Others are going for it.

Whether polysilicon or monocrystalline silicon based monolithic 3D, jump in and be a part of this next important evolution of our great industry.

Don’t miss out.
submit to reddit
0 Comments

Is Monolithic 3D IC a disruptive technology for the Semiconductor Industry?!

11/17/2011

0 Comments

 
Picture

We have a guest contribution today from Zvi Or-Bach, the President and CEO of MonolithIC 3D Inc. Zvi discusses Monolithic 3D's potential impact on the semiconductor industry...


Read More
0 Comments

Why is High-k/Metal Gate so Hard?

11/13/2011

4 Comments

 
We’ve often heard about foundries struggling to ramp-up yield of High-k/Metal Gate technologies. In this blog post, I’ll talk about some of the key issues involved.


Read More
4 Comments

    Search Blog


    Meet the Bloggers


    Follow us


    To get email updates subscribe here:


    Recommended Links

    3D IC Community
    3D IC LinkedIn Discussion Group

    Recommended Blogs

    • 3D InCites by Francoise von Trapp
    • EDA360 Insider by Steve Leibson
    • Insights From the Leading Edge by Phil Garrou
    • SemiWiki by Daniel Nenni, Paul Mc Lellan, et al.

    Archives

    July 2024
    January 2024
    December 2023
    May 2023
    March 2022
    December 2021
    August 2021
    August 2018
    July 2018
    May 2018
    October 2017
    September 2017
    December 2016
    September 2016
    August 2016
    November 2015
    October 2015
    September 2015
    July 2015
    June 2015
    May 2015
    April 2015
    March 2015
    February 2015
    October 2014
    September 2014
    August 2014
    July 2014
    June 2014
    May 2014
    April 2014
    March 2014
    February 2014
    January 2014
    December 2013
    November 2013
    October 2013
    September 2013
    August 2013
    July 2013
    March 2013
    February 2013
    January 2013
    December 2012
    November 2012
    October 2012
    August 2012
    June 2012
    May 2012
    April 2012
    March 2012
    February 2012
    January 2012
    December 2011
    November 2011
    October 2011
    September 2011
    August 2011
    July 2011
    June 2011
    May 2011
    April 2011
    March 2011

    Categories

    All
    3d Design And Cad
    3d Ic
    3dic
    3d Nand
    3d Stacking
    3d Technology
    Brian Cronquist
    Dean Stevens
    Deepak Sekar
    Dram
    Education
    Heat Removal And Power Delivery
    Industry News
    Israel Beinglass
    Iulia Morariu
    Iulia Tomut
    Monolithic 3d
    Monolithic3d
    Monolithic 3d Inc.
    MonolithIC 3D Inc.
    Monolithic 3d Technology
    Moore Law
    Outsourcing
    Paul Lim
    Repair
    Sandisk
    Semiconductor
    Semiconductor Business
    Tsv
    Zeev Wurman
    Zvi Or Bach
    Zvi Or-Bach

    RSS Feed

Powered by Create your own unique website with customizable templates.